Search This Blog

1983/10/29

NASSDA HSIM 5.0.01.2005

NASSDA HSIM 5.0.01.2005
<

HSIM is Nassdas comprehensive
transistor level simulation and analysis
platform for pre layout design and
post layout verification of nanometer
integrated circuits.

HSIM expands on the mature, robust and
production proven HSIM simulator to
address the most critical problems
associated with the physical effects of
interconnect wiring and short channel
devices.

Nassdas patented hierarchical
simulation approach surpasses obsolete
Fast SPICE technologies, which have
attempted to integrate disparate tools
and device models to construct a
simulation platform assembling a
legacy SPICE simulator and a Fast SPICE
engine together in a single package does
not solve the capacity and precision
requirements for todays designs,
especially when considering post layout
nanometer effects.

In contrast, the architecture of
HSIMplus has been developed from the
ground up to satisfy the rigorous
requirements of the most demanding
users. Not just today, as these users
enter the nanometer age, but also in the
future at 65nm and below, protecting
investments in Nassda.s innovative
technology.

nassda

BUY NASSDA HSIM 5.0.01.2005 20$

TAGS\: order NASSDA HSIM 5.0.01.2005, low price NASSDA HSIM 5.0.01.2005, low cost NASSDA HSIM 5.0.01.2005

No comments:

Post a Comment